最新消息:请大家多多支持

SynaptiCAD Product Suite 18.00c 电子设计自动化工具

CAX/EDA dsgsd 265浏览 0评论


 

SynaptiCAD公司出品的EDA(电子设计自动化)工具集合,包括HDL输入、仿真、测试、波形分析、管脚映射工具等等,是一个很完整的EDA方案。包含了9种功能强大的工具:TestBencher Pro;BugHunter Pro;VeriLogger Pro;WaveFormer Pro;SimuTAG;PinPort;DataSheet Pro;Timing Diagrammer Pro;Gigawave Viewer 。

1.TestBencher Pro:用于从独立于语言的时序逻辑表生成交互式VHDL,Verilog, OpenVera,e, 和TestBuilder 测试基准及总线功能的模型。生成的测试基准能提供基于仿真相应的不同的激发向量,以便于测试基准功能作为一个被测试系统环境的动作模型正常工作。TestBencher Pro是一个优秀的测试大规模FPGA 和 ASIC设计的工具。

2.BugHunter Pro:一款用于 Verilog, VHDL 和 C++ 模拟器的图形调试系统。BugHunter 支持所有主要的 HDL 模拟器,其主要功能包括:单一步骤调试、波形数据串流、项目管理等等。可以侦测程序与帮助系统的交互过程从而发现问题所在。

3.VeriLogger Pro:Verilog模拟器软件。提供一个综合了传统Verilog模拟器所有特征的仿真环境,它具有强大的图形测试矢量产生器。VeriLogger的快速模型测试使用户可以对设计中的每个模型进行“自底向上”的测试。

4.WaveFormer Pro:由时序图分析器、模拟器和测试向量生成器组合而成。它为设计人员提供了一个集成的环境,可以用来开发数字和模拟线路的时序图。WaveFormer Pro可以由Spice模拟器,Mathematica,或Matlab等工具输入模拟数据。还可以利用WaveFormer Pro 内部拥有的波形函数直接生成模拟信号;或者将从总线上得到的数字信号变换成模拟信号。还可以将模拟信号波形逐段线性地变换成Spice模拟器的电压信号源。

5.SimuTAG:一款功能强大和实时地查证出 FPGAs 的错误的系统。可经由比较FPGA 功能规则反证RTL样式模拟规则,迅速发现逻辑和综合错误。

6.PinPort:提供数字硬件与Verilog 或C++的虚拟接口环境。

7.DataSheet Pro:时间表文件夹管理工具。

8.Timing Diagrammer Pro:时间表设计工具。

9.Gigawave Viewer:波形观测器。


 

SynaptiCAD Product Suite 18.00c | 158.8 MB

SynaptiCAD was founded by electrical engineers to create design tools that helped engineers think critically about their designs. Being engineers themselves, they were frustrated by having to almost complete a design before being able to get simulation results. Our founders decided that there must be a better approach for interacting with design tools and from this simple idea they created SynaptiCAD, a company that creates “tools for the thinking mind”. This idea permeates all of our tool interfaces.

With SynaptiCAD’s tools you are able to begin analyzing and simulating design ideas right away without having to complete an entire circuit model or schematic. Our tools automatically handle many of the minor details involved in the design effort, leaving you more time to focus on the bigger picture.

SynaptiCAD based of the electrical engineers, in order to manufacture draft tools, which helped engineers to think of their drafts critically. Being implements blank, it frustrated, by a draft before in the situation its locks nearly must, results of simulation receive. Our founders decided that there must be a better approach for the effect on draft tools and from this simple idea it SynaptiCAD caused, a company rlt, which manufactures tools for the thinking understanding. This idea penetrates all our tool tools. With SynaptiCADs tools in the position you are draft to analyze and to simulate begin ideas immediately to lock without having, an entire electric circuit model or – diagram. Our tools treat automatically many of the small details, those into the draft effort along and leave to you more time to concentrate in the larger illustration.

Homepage – http://www.syncad.com/


Download freakshare
http://freakshare.com/files/qiv06xm9/SynaptiCAD.Product.Suite.v18.00c-Lz0.rar.html

Download filefactory
http://www.filefactory.com/file/1hrno9er7kuh/SynaptiCAD.Product.Suite.v18.00c-Lz0.rar

Download uploaded
http://ul.to/ycbvzmsg

Download 城通网盘
http://www.400gb.com/file/23155090

Download 百度云
http://pan.baidu.com/share/link?shareid=2155980385&uk=909160512

转载请注明:0daytown » SynaptiCAD Product Suite 18.00c 电子设计自动化工具

发表我的评论
取消评论
表情

Hi,您需要填写昵称和邮箱!

  • 昵称 (必填)
  • 邮箱 (必填)
  • 网址